CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL d

搜索资源列表

  1. intelligent-function-generetor

    0下载:
  2. 本设计采用综合设计方法使用FPGA来实现智能函数发生器,它由六个波形产生模块及波形选择输出模块组成,波形选择模块的输出q接在D/A转换的数据端,就可以在D/A输出端得到想要的其中之一的任一种光滑的波形。-VHDL \FPGA \EDA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:117863
    • 提供者:yellow
  1. exp1.8_Dflipflop

    0下载:
  2. 用VHDL及verylog语言设计一个D触发器,可以在Quartus II中仿真-Language Design with VHDL and verylog a D flip-flop, the Quartus II simulation in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:273844
    • 提供者:davidye
  1. DAC0832-interface-control

    0下载:
  2. 基于VHDL语言,实现对高速A/D器件DAC0832控制-Based on VHDL language, to achieve high-speed A/D control devices DAC0832
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:3193
    • 提供者:HUANGTIANWEN
  1. parallel_in_serial_out

    0下载:
  2. 适用于D/Atlc5620的并行-串行数据转换模块【VHDL】-parallel_in_serial_out driver for D/Atlc5620【VHDL】
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:605
    • 提供者:gaoyuanli
  1. Trigger

    0下载:
  2. 各类触发器VHDL源码程序,在quartus-ii7.2版本上测试通过,文件中包括D触发器,JK触发器,RS触发器,T触发器。-Various triggers VHDL source code program in quartus-ii7.2 version of the test is passed, the document includes a D flip-flop, JK flip-flop, RS flip-flop, T flip-flop.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:925932
    • 提供者:baoguocheng
  1. vhdl_codes

    0下载:
  2. D-flip flop vhdl implement code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:723
    • 提供者:Rishabh Bansal
  1. Desktop

    0下载:
  2. 四D触发器,最优先级编码器和加法器描述的VHDl文件-Four D flip-flop, the priority encoder and adder descr iption of the VHDl files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1860
    • 提供者:jact chen
  1. async_reset_dff

    0下载:
  2. 异步复位的D触发器 vhdl fpga xilinx spartan-3e-D flip flop async-reset vhdl fpga xilinx spartan-3e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:914180
    • 提供者:朱飞亚
  1. report

    0下载:
  2. 利用VHDL语言实现基于pwm的D/A转换,要求,可以通过按键来分别选择占空比,从分别选择20,40,60,80。-Using VHDL language the pwm D/A conversion can be keys to select the duty cycle, from the Select 20, 40, 60, 80.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:77140
    • 提供者:
  1. chuzuche

    0下载:
  2. 一款基于VHDL的EDA计程车计费系统的设计.熟悉Quartus2操作环境-LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL USE IEEE.STD_LOGIC_UNSIGNED.ALL ENTITY liuxuanyi IS PORT(C:IN STD_LOGIC_VECTOR(2 DOWNTO 0) DP: OUT STD_LOGIC A1,A2,A3,B1,B2,B3:IN STD_LOGI
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:72402
    • 提供者:邱壮雄
  1. AD

    0下载:
  2. 有限状态机的设计——0809 A/D转换实验-VHDL for ADC0809
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:723
    • 提供者:lyon
  1. fli

    0下载:
  2. --- vhdl code of d flip flop ---- vhdl code of d flip flop ---
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1061663
    • 提供者:perfect22
  1. Acquisitio-Monitoring-of-CPLD

    0下载:
  2. 基于CPLD的数据采集与监控系统设计.CPLD; 数据采集及监控; VHDL; A/D转换。-The design based on Data Acquisition and Monitoring System of CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:213553
    • 提供者:叶脉
  1. dff1

    0下载:
  2. 本程序使用vhdl语言编写,能够使用ALTERA CPLD-EPM3128A 模拟出一个D触发器。-This program written in vhdl language, be able to use of ALTERA the CPLD analog-EPM3128A, a D flip-flop.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:80725
    • 提供者:cheng guanghui
  1. div16_dff

    0下载:
  2. 该项目用D触发器设计了一个基于VHDL的16分频的分频器,其中包括仿真时序图。-Of the project design with D flip-flop frequency divider 16 points based on VHDL, including simulation timing diagram.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:147139
    • 提供者:longdonghuo
  1. vhdl1

    0下载:
  2. mesure de la largeur d une impulsion en vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:778
    • 提供者:imed
  1. Embedded-Systems_VHDL

    0下载:
  2. Digital Design An Embedded Systems Approach Using VHDL Peter J Ashenden The source code for the examples is available in the following ZIP archives, one per chapter. There is also an archive containing source code for the Gumnut core, descri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:56851
    • 提供者:唐兴国
  1. fsk_completed

    0下载:
  2. FPGA为设计载体,VHDL 为设计输入,完成2FSK调制器的实现,下载到DE2平台通过D/A转换模块于示波器上实现-2FSK based on Fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:568779
    • 提供者:jiran
  1. 8.5-TLC5510

    0下载:
  2. TLC5510 VHDL控制程序:基于VHDL语言,实现对高速A/D器件TLC5510控制-The TLC5510 VHDL control procedures: TLC5510 control of high-speed A/D devices based on VHDL
  3. 所属分类:MPI

    • 发布日期:2017-12-03
    • 文件大小:3195
    • 提供者:jack
  1. Dff

    0下载:
  2. D 触发器,数字电路中最基本的逻辑单元之一。很实用的程序例子-D flip-flop, one of the basic logics in the digital design, an instance of a Sequential VHDL codes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:1227
    • 提供者:chenzhang
« 1 2 ... 5 6 7 8 9 1011 12 13 »
搜珍网 www.dssz.com